找到 “立创EDA” 相关内容 条
  • 全部
  • 默认排序

在PCB生产调试期间,为了方便查看文件或者查询相关元件信息,会把PCB设计文件转换成PDF文件。下面介绍常规PDF文件的输出方式。前期工作是需要在电脑上安装PDF阅读器,准备充足后按照以下步骤进行操作。1)执行菜单命令“导出-PDF/图片”

1117 0 0
嘉立创EDA PDF文件的输出教程

器件摆放注意局部对齐处理2.电源输入的滤波电容应该靠近输入管脚(4脚)放置3.焊盘出线不规范,焊盘中心出线至外部才能拐线处理,避免生产出现虚焊4.确认一下此处是否满足载流【问题改善建议】:加粗线宽或者铺铜处理5.差分线处理不当,锯齿状等长,

立创EDA梁山派-suifengyiwang作业评审报告

在电子工程设计中,选择适合自己需求的EDA工具非常重要,Altium Designer(简称:AD)是一款功能强大界面简洁的EDA软件,而立创EDA是立创商城推出的一款在线PCB设计工具,对工程师来说,可能需要将AD文件导入立创EDA中进行

Altium Designer文件如何导入立创EDA?

差分线这里需要调整走线尽量不要有直角锐角这里是输出走线应该加粗处理最好铺铜处理。SD卡所有信号线要做等长处理,以时钟线为目标,目标控制在300mil以内。这个差分在这里另一根就断了,不耦合对内也不等长。很多的线间距都不满足3w原则自己调整一

立创EDA梁山派-苏靖楠作业评审报告

前期为了满足各项设计的要求,通常会设置很多约束规则,当一个PCB设计完成之后,通常要进行DRC。DRC就是检查设计是否满足所设置的规则。一个完整的PCB设计必须经过各项连接性规则检查,常见的检查包括开路及短路的检查,更加严格的还有差分对、阻

993 0 0
嘉立创EDA专业版PCB的DRC与生产输出

立创EDA是电子工程师常用的电子设计自动化(EDA)软件,为电子工程师提供了全面的设计工具和功能,其中仿真工具是其重要组成部分,可帮助工程师验证电路设计的性能和可靠性,下面谈谈立创EDA如何进行电路仿真和信号完整性仿真。1、电路仿真电路仿真

立创EDA有仿真工具吗?如何使用?

一、丝印位号调整针对后期元件装配,特别是手工装配元件,一般都得输出PCB的装配图,用于元件放料定位之用,这时丝印位号就显示出其必要性了。生产时PCB上丝印位号可以进行显示或者隐藏,但是不影响装配图的输出。在右侧的图层菜单栏里,按全部按钮,即

1011 0 0
嘉立创EDA专业版PCB丝印的快速调整方法

电源输入电容应该靠近管脚放置输出电容电阻应该靠近管脚放置到电感后面输出3.3v晶振布局错误,晶振的一对线要走成类差分的形式, 线尽量短如下图。typec的LCD_R4、LCD_R5要走差分阻抗控制90欧姆做对内等长,差分走线尽量减少打孔换层

立创EDA梁山派-岳孝昱作业评审报告