0
收藏
微博
微信
复制链接

当火遍全网的ChatGPT遇到FPGA,会怎样?这小家伙竟然还会整代码?!

2023-03-06 16:01
1555

    最近,由美国OpenAI研发的聊天机器人ChatGPT着实火了一把。“会被人工智能替代的行业”、“不是孩子未来的发展方向“等等话题层出不穷,ChatGPT成为新的流量收割机,引发了网友的一系列“花式整活”。那么,当ChatGPT遇到FPGA,会怎样?例如,如何用verilog HDL语言写一个跑马灯程序?FPGA设计为什么要进行时序设计?紫光同创的FPGA芯片性能怎么样?看看我们的小聪明ChatGPT怎么说?

问题1:用verilog HDL语言写一个跑马灯程序

a2c027df0e8507ca7c96ecac78297b.jpg


问题2:上面的代码还有优化空间吗?


问题3:用verilog HDL语言写帮忙写一个fifo?



问题4:上面的代码还有优化空间吗?


问题5:FPGA设计为什么要进行时序约束?


问题6:FPGA开发过程中,怎么处理从慢时钟域到快时钟域的数据?

0f8fa568de52dcf863299897751f66.png

问题7:FPGA开发中怎么进行同步电路的设计?

74dd7004169ebe9003ea4325524c2b.png

问题8:FPGA为什么要进行时序约束?

be5fbfe42194d88bf3f8d128eb0494.png

问题9:你觉得紫光同创的FPGA芯片性能好吗?

07c704ef335c6d52e55efbe3df57cb.png

问题10:你觉得XILINX的FPGA芯片性能好吗?


问题11:你觉得中国的FPGA行业的未来好吗?

4dd01017a9fa69de983cdc359259ca.png

问题12:我是FPGA工程师,你觉得我会被你取代失业吗?





2a2d89c9e88d7279f6c69f83bab965.jpg



登录后查看更多
0
评论 0
收藏
侵权举报
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表凡亿课堂立场。文章及其配图仅供工程师学习之用,如有内容图片侵权或者其他问题,请联系本站作侵删。

热门评论0

相关文章

小眼睛FPGA

此用户很懒什么也没留下

开班信息